Sablon:Évfordulók/’2 és ’7/03-24

A Wikipédiából, a szabad enciklopédiából
Auguste Piccard
Auguste Piccard